thảo luận Intel đặt mục tiêu giành lại ngôi vương sản xuất chip từ TSMC và Samsung vào năm 2025

Christmas.

Member
Nhà sản xuất chip Hoa Kỳ đã thông báo bản ký kết hợp đồng cung cấp cho Qualcomm và Amazon và nhận định sẽ sớm thế chân "ngôi vương sản xuất" chip toàn cầu từ các đối thủ.

Thông báo của Intel được đưa ra trong bối cảnh Hoa Kỳ đang nỗ lực đưa hoạt động sản xuất chất bán dẫn trở về nước. © Reuters


Thông báo của Intel được đưa ra trong bối cảnh Hoa Kỳ đang nỗ lực đẩy mạnh sản xuất chất bán dẫn tại nước nhà. Ảnh: Reuters.
Hôm nay (27/7), Intel cho biết họ sẽ sản xuất chất bán dẫn tiên tiến nhất thế giới vào năm 2024 và giành lại ngôi vương sản xuất chip toàn cầu từ các đối thủ châu Á là Taiwan Semiconductor Manufacturing Co và Samsung Electronics vào năm sau.

Công ty cũng cho biết họ đã đạt được thỏa thuận sử dụng công nghệ mới để sản xuất chip di động cho Qualcomm - khách hàng chủ chốt của Samsung và TSMC, điều này đánh dấu chiến thắng lớn đầu tiên của Intel trong lĩnh vực kinh doanh được gọi là xưởng đúc, hoặc sản xuất chip cho các công ty khác.

Intel, nhà sản xuất bộ vi xử lý lớn nhất của Mỹ, đã bị tụt lại phía sau các đối thủ châu Á trong những năm gần đây sau một loạt trì hoãn trong việc đưa các công nghệ sản xuất tiên tiến ra thị trường. Công ty đã phải đối mặt với nhiều khó khăn hơn nữa trong năm nay nhưng đã cam kết vào hôm nay rằng sẽ đạt được "sự ngang bằng" về công nghệ quy trình với các nhà lãnh đạo trong ngành, cụ thể là TSMC và Samsung, vào năm 2024 và giành lại vị trí dẫn đầu vào năm 2025.

Thông báo này được đưa ra trong bối cảnh Mỹ và các quốc gia khác đang thúc đẩy việc đưa sản xuất chất bán dẫn vào trong nước, với việc Washington gần đây đã thông qua gói hỗ trợ trị giá 52 tỷ USD để thúc đẩy ngành công nghiệp chip trong nước. Intel và TSMC từng rót hàng tỷ USD vào việc xây dựng và mở rộng các cơ sở bán dẫn ở Mỹ

"Chúng tôi sẽ đưa chúng tôi vào một con đường rõ ràng để xử lý ngang bằng công nghệ vào năm 2024 và dẫn đầu vào năm 2025. Chúng tôi là công ty hàng đầu duy nhất thực hiện cả nghiên cứu phát triển và sản xuất ở Hoa Kỳ", Giám đốc điều hành Intel Pat Gelsinger cho biết trong một hội nghị công nghệ trực tuyến.

Intel cho biết công nghệ mới, được gọi là 20A, sẽ tiên tiến hơn so với các sản phẩm tiên tiến hiện tại của TSMC và Samsung, có thể đưa họ nâng cao năng lực sản xuất lên loại chip 2 nanomet.

Kích thước nanomet đề cập đến khoảng cách giữa các bóng bán dẫn trên chip. Kích thước nanomet càng nhỏ, chip càng tiên tiến và mạnh mẽ, điều này đồng nghĩa với việc càng tốn kém thêm nhiều chi phí để có thể phát triển và sản xuất.

Hiện chỉ có Intel, TSMC và Samsung có thể sản xuất chip sử dụng công nghệ quy trình dưới 10 nm. TSMC, chẳng hạn, sẽ đưa công nghệ 3 nm của mình vào sản xuất hàng loạt vào nửa cuối năm 2022.

Tuy nhiên, Intel đã phải vật lộn để triển khai các công nghệ quy trình tiên tiến. Công ty lại gặp phải một sự trì hoãn khác trong việc sản xuất hàng loạt bộ vi xử lý Xeon thế hệ tiếp theo cho các máy chủ dữ liệu và sẽ không bắt đầu sản xuất hàng loạt với công nghệ 7 nm cho đến cuối năm 2022 hoặc 2023 - sau cả TSMC và Samsung.

Intel vừa là đối thủ vừa là khách hàng của TSMC, công ty kiểm soát 50% thị trường đúc chip trên thế giới. Công ty Mỹ đang thử nghiệm các thiết kế chip của mình với công nghệ 3 nm của TSMC như một kế hoạch dự phòng để có thêm thời gian khắc phục sự chậm trễ của mình.

Vào tháng 3, Intel đã thông báo rằng họ sẽ quay trở lại hoạt động kinh doanh xưởng đúc và tuần trước cho biết rằng họ có vẻ sẽ đạt được 100 khách hàng. Trong quá khứ, các cơ sở sản xuất và công suất của Intel được sử dụng chủ yếu để sản xuất chip cho riêng mình.

Hôm nay, công ty cũng cho biết Amazon Web Service - một khách hàng khác của TSMC - sẽ chuyển sang công nghệ đóng gói tiên tiến của Intel, bước cuối cùng trong quy trình sản xuất chip tích hợp các loại chip khác nhau. Bao bì chip hiện được xem là chiến trường quan trọng tiếp theo của các nhà lãnh đạo ngành.

Giám đốc điều hành Intel Pat Gelsinger hôm nay cũng đã nhắc lại rằng công ty của ông sẽ công bố nhiều kế hoạch mở rộng hơn ở Mỹ và châu Âu trước cuối năm nay. TSMC gần đây đã xác nhận rằng họ đang xem xét Đức như một địa điểm khả thi cho nhà máy chip châu Âu đầu tiên của mình.
https://doanhnghiephoinhap.vn/intel...uat-chip-tu-tsmc-va-samsung-vao-nam-2025.html
 
Không có vấn đề gì ở đây cả. Intel chắc chắn ko từ bỏ mảng sx của mình đâu.
năng lực sx nó vẫn quá kinh khủng so với phần còn lại thì bỏ sao đc
chỉ có việc tới 2025 thì chắc vẫn chưa đi đầu được về công nghệ
doanh thu thì sure nó đầu tư thu hút khách hàng gia công thì sẽ khủng nhất thôi
 
Back
Top